群馬工業高等専門学校電子メディア工学科
高信頼LSI研究室

研究実績

プロフィール

名前松本 敦
生年月日1979年09月02日
職名准教授
E-MAILmatumoto(atmark)elc.gunma-ct.ac.jp

学歴

2002年3月東京工業大学工学部電気・電子工学科集積システムコース(現在情報工学科に統合)卒業
2002年4月東京工業大学情報理工学研究科計算工学専攻 博士課程前期2年の課程入学
2004年3月同上修了
2004年4月東京工業大学情報理工学研究科計算工学専攻 博士課程後期3年の課程進学
2007年3月同上修了
最終学歴博士(工学)

職歴

2007年04月~2012年09月東北大学電気通信研究所 助教
2012年10月~2014年03月群馬工業高等専門学校 助教
2014年04月~2017年03月群馬工業高等専門学校 講師
2017年04月~現在群馬工業高等専門学校 准教授

所属学会

IEEE(米国電気電子学会)(Computer, Circuit and Systems(CAS))
電子情報通信学会

学会等の役員

2009 IEEE International Symposium on Multiple-Valued Logic
プログラム委員
2008年6月~2009年5月

受賞

IEEE Computer Society Annual Symposium on VLSI Best Paper Award 2010 2010年7月
第8回情報科学技術フォーラム(FIT)ヤングリサーチャー賞 2010年9月

研究成果(学術論文)

                    
  Title Journal Vol. No. Pages Year Authors
1 高位仕様記述からの非同期式回路自動合成について. 電子情報通信学会論文誌D J89-D 4 660-673 2006 松本敦,米田友洋
2 高並列度仕様からの非同期式回路合成のための信号遷移挿入手法. 情報処理学会論文誌 47 6 1608-1618 2006 松本敦,米田友洋
3 Stundby-Free Compact Ternary Content-Addressable Memory Cell Chip Using Magnetic Tunnel Junction Devices. Applied Physics Express 2 2 2061-2071 2009 S. Matsunaga, K. Hiyama, A. Matsumoto, S. Ikeda, H. Hasegawa, K. Miura, J. Hayakawa, T. Endo, H. Ohno and T. Hanyu
4 TMRデバイスを用いたしきい値変動補償を有する電流モード多値回路の構成. 電子情報通信学会論文誌D J93-D 1 10-19 2010 廣崎旭宏, 松本敦, 羽生貴弘
5 Long-Range Asynchronous On-Chip Link Based on Multiple-Valued Single-Track Signaling. IEICE Transactions on Fundamentals E95-A 6 1018-1029 2012 N. Onizawa, A. Matsumoto, and T. Hanyu
6 制御情報共有化に基づく非同期細粒度パワーゲーティング技術とそのオンチップルータへの応用. 電子情報通信学会論文誌C J96-C 5 73-84 2013 松本敦,河野宇朗,鬼沢直哉,羽生貴弘
7Open-Fault Resilient Multiple-Valued Codes for Reliable Asynchronous Global Communication LinksIEICE Trans. on Inf. and Syst.E96-D91952-19612013N. Onizawa, A. Matsumoto, and T. Hanyu
8 High-Throughput Compact Delay-Insensitive Asynchronous NoC Router. IEEE Computer 63 3 637-649 2014 N. Onizawa, A. Matsumoto, T. Funazaki, and T. Hanyu

研究成果(国際会議)

  Title Journal Vol. Pages Year Authors
1 High Level Synthesis of Timed Asynchronous Circuits. The 11th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC) - - 2005 T. Yoneda, A. Matsumoto, M. Kato, C. Myers
2 High-level Asynchronous Circuits Synthesis under Resource Constraints. International Technical Conference on Circuit/System, Computers and Communications - 437-438 2005 H. Onda, A. Matsumoto and T. Yoneda
3 Vth-Variation Compensation of Multiple-Valued Current-Mode Circuit. Proc. 38th IEEE International Symposium on Multiple-Valued Logic - 14-19 2008 A. Hirosaki, A. Matsumoto and T. Hanyu
4 One-Color Two-Phase Asynchronous Communication Links Based on Multiple-Valued Simultaneous Control. Proc. 40th IEEE International Symposium on Multiple-Valued Logic - 211-216 2010 A. Matsumoto, N. Onizawa and T. Hanyu
5 Accurate Asynchronous Network-on-Chip Simulation Based on a Delay-Aware Model. Proceeding of IEEE Computer Society Annual Symposium on VLSI 2010 - 357-362 2010 N. Onizawa, T. Funazaki, A. Matsumoto and T. Hanyu
6 Interconnect-Fault-Resilient Delay-Insensitive Asynchronous Communication Link Based on Current-Flow Monitoring. Proceeding of Design Automation Test in Europe (DATE) 2011 - 776-781 2011 N. Onizawa, A. Matsumoto and T. Hanyu
7 Adjacent-State Monitoring Based Fine-Grained Power-Gating Scheme for a Low-Power Asynchronous Pipelined System. Proceeding of IEEE Int. Symp. Circuits and Systems (ISCAS) 2011, - 2067-2070 2011 T. Kawano, N. Onizawa, A. Matsumoto and T. Hanyu
8 Complementary Multiple-Valued Encoding Scheme for Interconnect-Fault-Resilient Bidirectional Asynchronous Links. Proc. 41st IEEE International Symposium on Multiple-Valued Logic - 236-241 2011 A. Matsumoto, N. Onizawa, and T. Hanyu
9 Systematic Coding Schemes for Low-Power Multiple-Valued Current-Mode Asynchronous Communication Links. Proc. 42nd IEEE International Symposium on Multiple-Valued Logic - 13-18 2012 A. Matsumoto, N. Onizawa, and T. Hanyu
10 Multi-chip NoCs for Automotive Applications. Proc. IEEE 18th Pacific Rim International Symposium on Dependable Computing (PRDC) - 236-241 2012 T. Yoneda, M. Imai, N. Onizawa, A. Matsumoto, and T. Hanyu
11 A consideration on Attitude Control System for Small Satellite with Dual Reaction Wheel. Proc. International Workshop on Sensing, Actuation, and Motion Control, IEEJ - - 2016 N. Hirakoso, K. Tajima, M. Ando, A. Matsumoto, and Y. Sigematsu
12 Study on Specification of Attitude Angle for Small Satellite by Lunar Outline Extraction. Proc. SICE Annual Conference 2016 - - 2016 M. Ando, Y. Sigematsu, A. Matsumoto, K. Kitamura, K. Imai, and N. Hirakoso

学会発表(国内,研究会等)

  Title Journal Vol. Pages Year Authors
1 高位仕様記述言語からの非同期式回路自動合成の試み システムLSIワークショップ予稿集 - - 2004 加藤学,松本敦,米田友洋
2 仕様記述言語Balsaからの非同期式回路合成について 電子情報通信学会技術研究報告 104,480 25-30 2004 松本敦,加藤学,音田浩臣,米田友洋
3 高い並列度を持つ非同期式回路仕様の効率適合性について 情報処理学会DAシンポジウム2005論文集 - 31-36 2005 松本敦,米田友洋
4 資源制約に基づく高位非同期式回路仕様の変換について 電子情報通信学会技術研究報告 105,4 25-30 2005 音田浩臣,松本敦,米田友洋
5 制御データフローグラフからの非同期式回路自動合成 情報処理学会DAシンポジウム2006論文集 - 37-42 2006 松本敦,米田友洋
6 非同期式回路のFPGA実現とその評価 電子情報通信学会技術研究報告 107,174 25-30 2007 松本敦,米田友洋,羽生貴弘
7 多値符号化に基づく非同期式転送方式の検討 電子情報通信学会「多値論理とその応用」第二種研究会技術報告 MVL-08( 99-104 2008 松本敦,羽生貴弘
8 電流モードsingle-track方式に基づく非同期データ転送の高速化 平成20年度電気関係学会東北支部連合大会講演論文集 2J18 370 2008 大竹遥,鬼沢直哉,松本敦,羽生貴弘
9 多値データ転送に基づく高性能NoCの構成 電子情報通信学会「多値論理とその応用」第二種研究会技術報告 MVL-09 24-27 2009 松本敦,羽生貴弘
10 高信頼オンチップ非同期データ転送技術に関する一検討 電子情報通信学会技術研究報告 109,169 1-6 2009 鬼沢直哉,松本敦,羽生貴弘,米田友洋
11 非同期式ネットワークオンチップの回路レベル検証環境の構築 第8回情報科学技術フォーラム講演論文集 1 519-520 2009 松本 敦,船崎智義,鬼沢直哉,羽生貴弘
12 非同期式ネットワークオンチップに基づくLSI設計環境の構築. 平成21年度電気関係学会東北支部連合大会講演論文集 1D06 106 2009 船崎智義, 鬼沢直哉, 松本敦, 羽生貴弘
13 多値1色2線符号に基づく非同期データ転送方式とその応用 平成21年度多値論理フォーラム研究ノート 32 1-1~1-5 2009 松本敦,鬼沢直哉,羽生貴弘
14 リアクティブ遅延モデルに基づく高精度非同期ネットワークオンチップシミュレーション手法 電子情報通信学会技術研究報告 110,2 9-14 2010 船崎智義, 鬼沢直哉, 松本敦, 羽生貴弘
15 リンク故障リカバリ機能を有する多値非同期転送方式 電子情報通信学会技術研究報告 110,8 284 2010 松本敦,鬼沢直哉,羽生貴弘
16 非同期細粒度パワーゲーティング手法 平成22年度電気関係学会東北支部連合大会講演論文集 110-2 9-14 2010 河野宇朗, 鬼沢直哉, 松本敦, 羽生貴弘
17 多値1色符号に基づく非同期通信方式とそのネットワークオンチップへの応用 第9回情報科学技術フォーラム講演論文集 1 385-386 2010 松本敦,鬼沢直哉,羽生貴弘
18 故障検出機能を有する2色符号とその非同期双方向リンクへの応用 電子情報通信学会技術研究報告 111-325 37-42 2011 松本敦, 鬼沢 直哉, 羽生 貴弘
19 制御回路共有化に基づく非同期細粒度パワーゲーティング手法とその応用に関する研究 電子情報通信学会技術研究報告 111-325 215-220 2011 河野宇朗, 鬼沢直哉, 松本敦, 羽生貴弘
20 遅延情報データベースに基づく高速・高精度非同期NoC設計・検証CADに関する一考察 平成24年度電気関係学会東北支部連合大会講演論文集 2H17 -- 2012 渡邉友馬,松本敦,羽生貴弘
21 束データ方式に基づく非同期回路の遅延自動設定手法の提案 平成28年情報処理学会全国大会講演論文集 1 179-180 2016 江原宏紀,松本敦

著書

  Title Authors/Editors Publisher ISBN Year
1 Accurate Asynchronous Network-on-Chip Simulation Based on a Delay-Aware Model (single chapter.) N. Onizawa, T. Funazaki, A. Matsumoto and T. Hanyu Springer 9400714874 2011